4D v16

ROUND

ホーム

 
4D v16
ROUND

ROUND  


 

 

Command ROUNROUND (arithmetic_expression[, arithmetic_expression])

説明  

ROUND関数は、一番目のarithmetic_expressionを、二番目のarithmetic_expressionを有効桁数として丸めます。二番目のarithmetic_expressionが渡されない場合、最も近い整数値に丸められます。

例題  

この例題は数値を小数点第二位を有効桁数として丸めます:

Round (1234.1966, 2) `returns 1234.2000



参照 

TRUNC
TRUNCATE

 
プロパティ 

プロダクト: 4D
テーマ: 関数

 
履歴 

 
ARTICLE USAGE

SQLリファレンス ( 4D v16)